Основные техпроцессы при изготовлении интегральных микросхем. Технология изготовления микросхем

1. Общие сведения о микросхемах и технологии их изготовления.

Тактико-технические, конструктивно-технологические, эксплуатационные и экономические характеристики ЭВМ и систем определяют примененные в них микросхемы , выполняющие функции преобразования, хранения, обработки, передачи и приема информации.

Микросхемой (интегральной микросхемой - ИМС, интегральной схемой - ИС) называют функционально законченный электронный узел (модуль), элементы и соединения в котором конструктивно неразделимы и изготовлены одновременно в едином технологическом процессе в общем кристалле-основании.

Теория, методы расчета и изготовления микросхем составляют основу микроэлектроники - современной наукоемкой отрасли техники.

По конструктивно-технологическому исполнению микросхемы делятся на полупроводниковые и гибридно-пленочные . Полупроводниковые микросхемы имеют в своей основе монокристалл полупроводникового материала (обычно кремния), в поверхностном слое которого методами литографии и избирательного легирования создаются транзисторы, диоды, резисторы и (иногда) конденсаторы, а соединения между ними формируются по поверхности кристалла с помощью тонкоплёночной технологии . Полупроводниковые микросхемы могут быть однокристальными (монолитными) и многокристальными (микросборками) . Однокристальная микросхема может иметь индивидуальный герметизированный корпус с внешними выводами для монтажа на коммутационной (печатной) плате, или быть бескорпусной и входить в состав микросборки.

Многокристальная микросхема (микросборка) представляет собой совокупность бескорпусных микросхем, смонтированных на общей коммутационной плате . В качестве компонентов в микросборке могут присутствовать бескорпусные согласующие резисторы и развязывающие конденсаторы. Вследствие высокой насыщенности связей коммутационная плата выполняется многоуровневой и, таким образом, является миниатюрным аналогом многослойной печатной платы. При изготовлении коммутационной платы может быть использована как тонкоплёночная, так и толстоплёночная технологии.

Гибридно-плёночные микросхемы включают в себя плёночные пассивные элементы (резисторы и конденсаторы), коммутационные проводники, нанесённые непосредственно на подложку из изоляционного материала, и бескорпусные полупроводниковые кристаллы (транзисторы, диоды, диодные матрицы, несложные микросхемы), монтируемые на той же подложке. Пассивные элементы и проводники могут быть выполнены по тонкоплёночной или толстоплёночной технологии.

В качестве активных элементов в полупроводниковых микросхемах используются униполярные (полевые) транзисторы со структурой “металл – диэлектрик (оксид) – полупроводник” (МДП- или МОП-транзисторы ) и биполярные транзисторы. В соответствии с этим все полупроводниковые микросхемы делятся на три основные вида: биполярные, униполярные (МДП или МОП) и биполярно-полевые.

Число элементов в интегральной микросхеме характеризует ее степень интеграции . По этому параметру все микросхемы условно делят на малые (МИС – до 10 2 элементов на кристалл), средние (СИС – до 10 3), большие (БИС – до 10 4), сверхбольшие (СБИС – до 10 6), ультрабольшие (УБИС – до 10 9) и гигабольшие (ГБИС – более 10 9 элементов на кристалл).

Наиболее высокой степенью интеграции обладают цифровые интегральные схемы с регулярной структурой: схемы динамической и статической памяти, постоянные и перепрограммируемые ЗУ. Это связано с тем, что в таких схемах доля участков поверхности ИС, приходящаяся на межсоединения, существенно меньше, чем в схемах с нерегулярной структурой.

Укрупненные схемы технологических процессов изготовления полупроводниковых (монолитных) и гибридно-пленочных ИС приведены соответственно на рис. 1 и 2. В последующих разделах приведено описание характерных особенностей выполнения отдельных технологических операций, в основном определяющих основные параметры интегральных микросхем.

Рис. 1. Укрупненная схема технологического процесса изготовления полупроводниковых (монолитных) ИС.


Рис. 2. Укрупненная схема технологического процесса изготовления гибридно-пленочных ИС.

Интеграмльная (микро)схемма (ИС, ИМС, м/сх, англ. Integrated circuit, IC, microcircuit), чип, микрочимп (англ. microchip, silicon chip, chip) -- тонкая пластинка, отколотая, отсечённая от чего-либо -- первоначально термин относился к пластинке кристалла микросхемы) -- микроэлектронное устройство -- электронная схема произвольной сложности, изготовленная на полупроводниковом кристалле (или плёнке) и помещённая в неразборный корпус.

Часто под интегральной схемой (ИС) понимают собственно кристалл или плёнку с электронной схемой, а под микросхемой (МС) -- ИС, заключённую в корпус. В то же время выражение «чип компоненты» означает «компоненты для поверхностного монтажа», в отличие от компонентов для традиционной пайки в отверстия на плате. Поэтому правильнее говорить «чип микросхема», имея в виду микросхему для поверхностного монтажа. На 2009 год большая часть микросхем изготавливается в корпусах для поверхностного монтажа.

Современные интегральные микросхемы, предназначенные для поверхностного монтажа

Советские и зарубежные цифровые микросхемы

Технология производства полупроводниковых приборов и интегральных микросхем

Технология полупроводникового производства базируется в настоящее время на таких сложных прецизионных процессах обработки, как фото- и электронолитография, оксидирование, ионно-плазменное распыление, ионная имплантация, диффузия, термокомпрессия и др. К материалам, используемым в производстве приборов и микросхем, предъявляют высокие требования по чистоте и совершенству структуры. Для осуществления большинства технологических операций используют уникальное по характеристикам оборудование: оптико-механическое, термическое, ионно-лучевое. Процессы осуществляются в -специальных обеспыленных, помещениях с заданными влажностью и температурой.

Технологический маршрут

Технологический маршрут -- это последовательность технологических операций обработки полупроводниковых пластин, применяемых для изготовления данного типа ПП или ИМС. Документом, содержащим описание маршрута, -является маршрутная карта. Она позволяет судить о перемещении изготовляемого прибора по всем операциям, указывает оборудование, материалы, трудовые нормативы и средства контроля. Проведение каждой технологической операции"регламентируется операционной картой, содержащей описание операции с указанием технологических режимов изготовления структуры или прибора и технологической оснастки. Технологические процессы изготовления различных ПП и ИМС многообразны. Можно выделить ряд общих технологических операций и примерно одинаковую их последовательность. Типовым маршрутом изготовления пленарного ПП или ИМС определяется последовательность из ряда основных операций.

1. Подготовка пластин. Исходные полупроводниковые пластины-- эпитаксиальные структуры, например я-я+-типа, или монокристаллические подложки с электропроводностью п- или р-типа, полученные в качестве полуфабриката с завода-изготовителя, подвергают очистке, промывке, травлению с целью удаления с поверх-1 ности пластин загрязнений и частиц пыли. Слой с электропроводностью я-типа в эпитаксиальной я-я+-структуре составит в будущих транзисторах коллекторную область (рис. 1.1, а)..

2. Создание топологического рисунка. Чтобы в эпитаксиальной структуре сформировать области с электропроводностью р-типа, необходимо обеспечить проведение локальной диффузии через окна -- отверстия в защитной маске. Размеры этих окон задают с помощью процесса фотолитографии. Маской, препятствующей диффузии, служит пленка диоксида кремния. Выращивание ее является необходимой стадией планарного процесса. Пленка диоксида 7 кремния Si02 толщиной 0,3--1,0 мкм надежно предохраняет структуру от воздействия многих внешних факторов и диффузии примесей. На пленку наносят слой фоторезиста -- фотоэмульсии, экспонируют его ультрафиолетовым светом через фотошаблон, содержащий множество идентичных изображений баз транзисторов с ваданной конфигурацией и размерами. Засвеченные участки фоторезиста проявляются и обнажившуюся пленку Si02 удаляют. Окно, вскрытое для базовой диффузии, показано на рис. 1.1, б.

3. Получение р-п-перехода база-- коллектор. Для прецизионной дозировки количества вводимой в кристалл примеси -- атомов бора при создании области р-базы -- используют процесс ионной имплантации, заключающийся во внедрении ускоренных ионов в поверхность кристалла. Слой фоторезиста служит защитной маской, так как ионы, внедренные в фоторезист, не достигают поверхности диоксида. Чтобы сформировать базовую область и р-п-пере-ход коллектор -- база на требуемой глубине, используют последующую диффузионную разгонку внедренных атомов бора. Ее проводят в окислительной среде при высоких температурах. В результате формируется область базы с глубиной 2--3 мкм и на поверхности базовой области наращивается пленка Si02 толщиной 0,3--0,5 мкм (рис. 1.1, в).

4. Получение p-n-nepexoda эмиттер -- база. Вначале формируют топологический рисунок эмиттерных областей, используя процесс фотолитографии по пленке Si02 над базовой областью. Одновременно вскрывают окна, задающие конфигурацию коллекторных 8 контактов. Фоторезист удаляют и ведут диффузию фосфора с высокой концентрацией на малую глубину (до 1--1,5 мкм) (рис. 1.1, г).

5. Контактная металлизация. Для присоединения к областям эмиттера, базы и коллектора электрических выводов необходимо металлизировать поверхности контактов. Предварительно проводят фотолитографическую обработку структуры для удаления пленки диоксида с нужных участков. Затем с помощью термического испарения в вакууме на всю поверхность пластины напыляют слой металла (например, алюминия) толщиной около 1 мкм, по которому проводят еще один процесс фотолитографии для удаления лишнего металла между областями контактов. Структура с контактной металлизацией показана на рис. 1.1, д. При изготовлении ИМС аналогичным образом создают тонкопленочные пассивные элементы-- резисторы, конденсаторы, а также осуществляют коммутацию транзисторов.

6. Сборка и герметизация. Пластина содержит от нескольких сотен до десятков тысяч отдельных транзисторов. Ее разрезают на отдельные структуры, называемые на данном этапе кристаллами. На рис. 1.1, е показана топология такого кристалла с контактной металлизацией. Кристалл напаивают на кристаллодержатель, осуществляют разводку -- подсоединение электрических выводов к контактам базы, эмиттера и коллектора -- и герметизируют, помещая в металлический корпус или заливая пластмассой.

7. Испытания приборов. Для оценки параметров и надежности приборов до их поступления в отдел технического контроля производят электрические, климатические и механические испытания. Они важны для правильной информации о качестве и надежности приборов. Помимо этого каждая технологическая операция сопровождается контролем качества обработки, например измерением глубины диффузии, толщины эпитаксиального слоя, удельного или поверхностного сопротивления. После того как в структуре созданы?-?-переходы, производят контроль электрических параметров-- напряжения пробоя, тока утечки, емкости. В технологическом маршруте предусмотрены специальные контрольные карты.

Рассмотренная последовательность операций характерна для изготовления планарно-эпитаксцального транзистора. В основе классификации приборов лежит технологической метод создания активных областей структуры. По этому признаку различают сплавные, диффузионные, эпитаксиальные, имплантационные дискретные ПП, а также их модификации, например сплавно-диффу-зионные и др. Большинство современных приборов изготовляют на эпитаксиальных структурах. Активные области формируют с помощью ионной имплантации и диффузии. МОП-транзисторы изготовляют на монокристаллических подложках без эпитаксиального слоя методами планарной. технологии. Непланарные диффузионные и эпитаксиальные переходы используют при изготовлении силовых Диодов и транзисторов.

Степень интеграции.

Были предложены следующие названия микросхем в зависимости от степени интеграции (указано количество элементов для цифровых схем):

Малая интегральная схема (МИС) -- до 100 элементов в кристалле.

Средняя интегральная схема (СИС) -- до 1000 элементов в кристалле.

Большая интегральная схема (БИС) -- до 10000 элементов в кристалле.

Сверхбольшая интегральная схема (СБИС) -- до 1 миллиона элементов в кристалле.

Ультрабольшая интегральная схема (УБИС) -- до 1 миллиарда элементов в кристалле.

Гигабольшая интегральная схема (ГБИС) -- более 1 миллиарда элементов в кристалле.

В настоящее время название ГБИС практически не используется (например, последние версии процессоров Pentium 4 содержат пока несколько сотен миллионов транзисторов), и все схемы с числом элементов, превышающим 10 000, относят к классу СБИС, считая УБИС его подклассом.

Технология изготовления.

Полупроводниковая микросхема -- все элементы и межэлементные соединения выполнены на одном полупроводниковом кристалле (например, кремния, германия, арсенида галлия).

Плёночная микросхема -- все элементы и межэлементные соединения выполнены в виде плёнок:

· толстоплёночная интегральная схема;

· тонкоплёночная интегральная схема.

Гибридная микросхема -- кроме полупроводникового кристалла содержит несколько бескорпусных диодов, транзисторов и(или) других электронных компонентов, помещённых в один корпус.

Вид обрабатываемого сигнала.

Аналоговые

Цифровые

Аналого-цифровые

Аналоговые микросхемы -- входные и выходные сигналы изменяются по закону непрерывной функции в диапазоне от положительного до отрицательного напряжения питания.

Цифровые микросхемы -- входные и выходные сигналы могут иметь два значения: логический ноль или логическая единица, каждому из которых соответствует определённый диапазон напряжения. Например, для микросхем ТТЛ при питании +5 В диапазон напряжения 0…0,4 В соответствует логическому нулю, а диапазон 2,4…5 В соответствует логической единице. Для микросхем ЭСЛ-логики при питании?5,2 В: логическая единица -- это?0,8…?1,03 В, а логический ноль -- это?1,6…?1,75 В. Аналого-цифровые микросхемы совмещают в себе формы цифровой и аналоговой обработки сигналов. По мере развития технологий получают всё большее распространение.


Рис. 1 Информационно-логическая модель проектирования радиоэлектронных устройств


Рис. 2 Детализация блока «Разработка структуры РЭУ с применением комплексного моделирования»


Рис. 3. Детализация блока «Комплексное моделирование физических процессов в РЭУ»


Рис. 4. Детализация блока «Исследование надёжности РЭУ»

Вам не приходилось бывать в сердце полупроводниковой индустрии - на фабрике по производству микросхем?

Вам не приходилось бывать в сердце полупроводниковой индустрии - на фабрике по производству микросхем? Каждое подобное сооружение - творение, способное впечатлить любого, даже непосвященного в производственные процессы человека.

У побывавших там возникало ощущение, будто совершаешь фантастическое путешествие в футуристический муравейник роботов или внутрь самой микросхемы. Там, в стерильном зале размером с три футбольных поля, снуют роботы и десятки специалистов, облаченных в скафандры и защитные шлемы. А высокоточные машины для производства микросхем «парят» на специальных платформах, освещенные желто-оранжевым светом…

Этапы производства кристаллов микросхем и фотолитография

Интегральные микросхемы делают на поверхности монокристаллического кремния (Кремний (Si) используется потому, что он является наиболее подходящим для этих целей полупроводником. В свою очередь, полупроводники - это класс материалов, чья электрическая проводимость находится посреди между проводимостью проводников (главным образом, металлов) и изоляторов (диэлектриков). Кремний также может выступать как в качестве диэлектрика, так и в качестве проводника - в зависимости от количества и типа присутствующих в нем примесей других химических элементов. И эта особенность широко используется при производстве микросхем. Впрочем, в редких случаях вместо кремния применяют и другие материалы. В частности, Intel умеет внедрять в свой 90-нм техпроцесс биполярные транзисторы с гетеропереходами (HBT) на кремний-германии (SiGe)) путем последовательного создания различных слоев на тонкой (меньше миллиметра) круглой (диаметром до 30 см) кремниевой пластине, именуемой подложкой [Тонкие пластины нарезаются из тяжеленной длинной цилиндрической болванки монокристаллического кремния, которая выращивается специальным прецизионным способом. Затем пластины полируются до зеркального блеска механическими и химическими методами. «Рабочая» поверхность (то есть та, на которой далее создается микросхема) пластины должна быть гладкой и совершенной на атомарном уровне и иметь весьма точную кристаллографическою ориентацию (подобно различным граням бриллианта при огранке, но еще более совершенной)]. Слои формируются при помощи различных процессов с использованием химических реактивов, газов и света. Производство современных микропроцессоров является сложным процессом, состоящим из трехсот с лишним шагов - более двадцати слоев «витиевато» соединены между собой, дабы сформировать схему микропроцессора с трехмерной структурой. Точное число слоев на подложке (вафле) зависит от дизайн-проекта конкретного процессора. Сотни идентичных микропроцессоров создаются на одной кремниевой подложке и на финальной стадии разрезаются на отдельные прямоугольные кристаллы - чипы.

Процессы формирования различных слоев и рисунков элементов микросхемы на подложке достаточно хитроумны (фактически это целая область науки), однако в их основе лежит одна простая идея: поскольку характерные размеры создаваемого рисунка настолько малы (Например, ячейка кэш-памяти процессора на 90-нм ядре Prescott в сто раз меньше красной кровяной клетки (эритроцита), а один ее транзистор - величиной с вирус гриппа), что осаждать те или иные материалы в нужных местах просто невозможно, поступают проще - материал осаждают сразу на всю поверхность подложки, а затем его аккуратно удаляют из тех мест, где он не нужен. Для этого служит процесс фотолитографии.

Что такое «чистая комната» и почему они используются на полупроводниковых фабриках?

Кристаллы микросхем должны производиться в условиях контролируемого и очень чистого воздуха. Поскольку функциональные элементы (транзисторы, проводники) на микрочипах очень малы, любая чужеродная частица (пыль, дым или чешуйки кожи), попавшая на пластину с будущими микросхемами на промежуточных стадиях ее производства, способна вывести из строя целый кристалл. «Чистые комнаты» классифицируются по размеру и количеству микрочастиц, присутствующих в единице объема (кубическом футе, примерно равном одной тридцатой части кубометра) воздуха. Например, комнаты класса 1, используемые в современном производстве, примерно в тысячу раз чище, чем хирургическая операционная. «Чистая комната» управляет чистотой воздуха путем фильтрации поступающего воздуха, удалением грязи с установок, ламинарным перемещением воздуха от потолка к полу (примерно за шесть секунд), регулировкой влажности и температуры. Люди в «чистых комнатах» ходят в специальных скафандрах, закрывающих, в том числе, весь волосяной покров (а в ряде случаев - даже с собственной системой дыхания). Для устранения вибраций чистые комнаты располагаются на собственном виброзащитном фундаменте.

Фотолитография является незыблемой основой производства микросхем, и в обозримом будущем ей вряд ли найдется достойная замена. Поэтому имеет смысл рассмотреть ее подробнее. Например, нам нужно создать рисунок в слое какого-то материала - диоксида кремния или металла (это наиболее распространенные в современном производстве операции). Прежде всего, на подложке тем или иным способом создается тонкий (обычно тоньше одного микрона) и сплошной, без дефектов, слой нужного материала. Далее на нем проводится фотолитография. Для этого сперва на поверхность пластины наносится тонкий слой светочувствительного материала, называемого фоторезистом (Фоторезист наносится из жидкой фазы, равномерно распределяется по поверхности пластины вращением в центрифуге и сушится до затвердевания). Затем пластина с фоторезистом помещается в прецизионную установку, где нужные участки поверхности облучаются ультрафиолетом сквозь прозрачные отверстия в фотомаске (ее еще называют фотошаблоном). Маска содержит соответствующий (наносимый на поверхность пластины) рисунок, который разрабатывается для каждого слоя в процессе проектирования микросхемы. Под действием ультрафиолета облученные участки фоторезиста меняют свои свойства так, что становится возможным их селективно удалить в определенных химических реактивах (Существует негативный и позитивный фоторезист. Один при облучении «крепчает», поэтому удаляют его необлученные участки, а другой, наоборот, теряет химическую стойкость, поэтому удаляются его облученные участки. Соответственно, различают позитивную и негативную фотолитографию). После снятия фоторезиста остаются открытыми только те области поверхности пластины, над которыми требуется совершить нужную операцию - например, убрать слой диэлектрика или металла. Они успешно удаляются (эта процедура называется травлением - химическим или плазмохимическим), после чего остатки фоторезиста можно окончательно убрать с поверхности пластины, оголив сформированный в слое нужного материала рисунок для дальнейших действий.Фотолитография завершена.

При производстве современных микропроцессоров приходится совершать операции фотолитографии до 20–25 раз - каждый раз над новым слоем. В общей сложности это занимает несколько недель! В одних случаях это слои изолирующих материалов, служащих подзатворным диэлектриком транзисторов или пассивирующими (изолирующими) прослойками между транзисторами и проводниками. В других - это формирование проводящих поликремневых затворов транзисторов и соединяющих транзисторы металлических проводников (В целях упрощения часть операций иногда совмещают - например, так называемые самосовмещенные затворы изготавливаются на базе одной и той же фотолитографии одновременным формированием рисунка подзатворного диэлектрика и тонкого поликремниевого затвора). В третьих - это формирование селективно легированных областей (главным образом - стоков и истоков транзисторов), причем легирование участков поверхности монокристаллической кремниевой пластины ионизированными атомами различных химических элементов (с целью создания в кремнии полупроводниковых областей n- или p-типа) производится не через окна в фоторезисте (он слишком нестоек для этого), а сквозь рисунок в достаточно толстом слое нанесенного диэлектрика (например, того же оксида кремния). После чего диэлектрик удаляется вместе с фоторезистом.

Иногда применяется и такой интересный метод, как взрывная фотолитография. То есть сперва формируется рисунок (вытравливаются окна в фоторезисте или временном слое диэлектрика), затем на поверхность пластины наносится сплошной слой нового материала (например, металла), и, наконец, пластина помещается в реактив, удаляющий остатки фоторезиста или временный диэлектрик. В результате удаляемый слой как бы «взрывается» изнутри, унося с собой лежащие на нем куски нанесенного последним металла, а в предварительно «открытых» участках (окнах) металл остался и сформировал нужный нам функциональный рисунок (проводников или затворов). И это только верхушка айсберга, называемого микроэлектронной технологией, в основе которой лежит принцип фотолитографии.

Таким образом на поверхности кремниевой пластины создается сложная трехмерная структура толщиной в несколько микрон, которая, собственно, и является электронной схемой. Сверху схема покрывается толстым (микроны) слоем пассивирующего диэлектрика, защищающего тонкую структуру от внешних воздействий. В нем лишь открываются окна для больших, стороной в десятки микрон, квадратных металлических контактных площадок, через которые на схему подаются извне питающие напряжения и электрические сигналы. А снизу механической основой микросхемы служит кремниевая пластина толщиной в сотни микрон. Теоретически, такую схему можно было бы сделать очень тонкой (10–30 мкм) и при желании даже «свернуть в трубочку» без потери функциональности. И подобные работы уже некоторое время ведутся в отдельных направлениях, хотя традиционные кристаллы микросхем (чипы) по-прежнему остаются «несгибаемыми».

После завершения технологических процедур каждый из кристаллов на пластине тестируется (подробнее об этом - в следующей статье), а потом пластина разрезается на отдельные кристаллы (прямоугольные чипы) при помощи алмазной пилы (Перед разрезанием на кристаллы толщина пластины у современных микропроцессоров уменьшается примерно на треть при помощи механической полировки. Это позволяет помещать их в более компактные корпуса. Полировка обратной стороны преследует также цели удаления посторонних материалов с последующим формированием электрического и адгезионного контактов к подложке при корпусировке). Далее каждый чип упаковывается в свой корпус, что позволяет подключать его к другим приборам. Тип упаковки зависит от типа микросхемы и от того, как она будет использоваться. Напоследок все упакованные чипы тестируются еще раз (негодные отбраковываются, годные проходят специальные стресс-тесты при различных температурах и влажности, а также проверку на электростатический разряд), сортируются по характеристикам и соответствию тем или иным спецификациям и отгружаются заказчику.

Технология Intel Copy Exactly

У большинства производителей микросхем оборудование и процессы, используемые в лабораториях для исследований и разработок, отличаются от того, что применяется на фабриках производства готовой продукции. И при переводе производства с опытного на серийное часто возникают серьезные задержки, связанные с тем, что на новом оборудовании требуется заметно дорабатывать и адаптировать технологические процессы, чтобы достичь высокого процента выхода годной продукции, ранее полученного в лабораториях. Это не только задерживает массовое производство, но и приводит к изменениям сотен параметров техпроцессов и даже конечных изделий. То же самое справедливо, если процесс, отлаженный на одной фабрике, переносится на другую с новым оборудованием.

Чтобы предотвратить возможные издержки, корпорация Intel, имеющая уже более десятка полупроводниковых фабрик, несколько лет назад внедрила у себя технологию Copy Exactly, суть которой в том, что при переносе технологии изготовления того или иного продукта из лаборатории на фабрику или между разными фабриками производится полное, до мелочей повторение (дупликация) всего, что с этим техпроцессом связано. Для этого, в частности, менеджеры с заводов участвуют в разработке продукта. А при переносе технологии копируется буквально все - не только входные и выходные параметры процессов (более 500!), но и их протекание, оборудование и параметры его настроек, поставщики исходных материалов для техпроцессов, трубопроводная система, чистые комнаты и даже методики обучения персонала.

Эта новаторская методика переноса технологий оказалась очень успешной. Сегодня она позволяет заводам выходить на полную мощность практически сразу после запуска - в течение нескольких недель. К тому же технология Copy Exactly придает фабрикам одной корпорации большую гибкость: начатые на одном заводе, пластины без ущерба для качества и выхода годных могут быть завершены на другом. А в случае аварии или реорганизации одной из фабрик другие «подхватят» ее дело и бизнес практически не пострадает. Эту технологию по достоинству оценивают и конкуренты - например, AMD и IBM, - хотя между ними в настоящее время она неприменима, поскольку их технологические маршруты несколько различаются.

Полупроводниковые фабрики

Сейчас в промышленности по производству чипов подходит к завершению одна из тех революций, которые раз в десятилетие меняют облик индустрии. Изготовители переходят от подложек диаметром 200 мм к подложкам диаметром 300 мм (см. фото справа), в результате чего появляется возможность заметно удешевить производство микросхем, а вместе с этим - всей электронной полупроводниковой продукции. Дело в том, что подложка диаметром 300 мм обеспечивает 225-процентное увеличение площади кремниевой пластины и 240-процентное увеличение полезного выхода чипов с каждой подложки. Кроме того, значительно улучшаются и экологические характеристики производства, которое требует меньшего расхода химических реактивов и энергии в пересчете на каждый процессор, создает меньше отходов. По данным Intel, по сравнению с заводом, работающим на 200-миллиметровых подложках, новая фабрика выбрасывает на 48% меньше летучих органических веществ, расходует на 42% меньше сверхчистой воды и примерно на 40% меньше энергии. На 50% сокращаются затраты труда.

Современные «300-мм» фабрики - это гигантские промышленные предприятия стоимостью около 2 млрд. долларов и площадью более сотни тысяч квадратных метров. Лишь немногие из современных компаний-производителей чипов (двадцатку лидеров см. во врезке на стр. 34) могут позволить себе вложения в такие дорогие фабрики. Ведь для постройки и дальнейшей эксплуатации подобных предприятий требуется достичь уровня ежегодных продаж в размере как минимум 6 млрд. долларов в расчете на каждую фабрику. Подобные фабрики принято называть «foundry» - один из переводов этого термина на русский язык означает «литейное производство». Название олицетворяет колоссальный индустриальный масштаб: ювелирный процесс изготовления высокотехнологичных элементов микропроцессоров становится на промышленный поток, масштаб которого сравним разве что с масштабом производства продукции огромными металлургическими цехами. В 2000 году, когда продажи чипов были на подъеме, всего десять компаний в мире имели объемы продаж выше 6 млрд. долларов. Из «старой гвардии» сегодня только Intel, IBM, Infineon, AMD, Texas Instruments и Samsung владеют собственными действующими фабриками по производству микросхем на 300-мм подложках. Другие создаются и управляются совместно объединениями компаний - например, «Motorola - Philips - STMicroelectronics - Taiwan Semiconductor». Несомненным лидером в планах постройки новых фабрик является Тайвань. Уже в 2001 году на острове была изготовлена пятая часть всего мирового производства подложек, а к 2010 году эта доля может достичь 40%. На пятки Тайваню наступают Китай, Малайзия и Сингапур - они планируют построить 15 фабрик, пять из которых будут работать на 300-мм пластинах.

У корпорации Intel таких действующих в промышленном масштабе фабрик уже четыре: F11X в Рио-Ранчо (штат Нью-Мексико), две - D1C и D1D - в Хиллсборо (штат Орегон) и недавно введенная в строй Fab 24 в ирландском городке Лейкслип (Leixlip). Все они могут выпускать процессоры по 90-нм технологии; пятая же, Fab 12 в Чандлере (штат Аризона) для 65-нм техпроцесса, будет переведена на 300-мм пластины к 2005 году. А, например, у AMD ввод в строй первой 300-мм фабрики Fab 36 планируется лишь в следующем году, см. обзор на www.terralab.ru/system/33692. Как полагают эксперты, существующие фабрики с 200-мм подложками смогут продержаться «на плаву» до 2005 года, после чего они уже не смогут выдержать ценовой конкуренции с 300-мм процессом. К 2005 году чипы будут делаться по технологии 65 нм, а на микропроцессорах будет интегрировано по миллиарду транзисторов! Чипы станут настолько крошечными, что позволят встраивать сотовые телефоны с голосовым набором номера в авторучку.

Почему фабрики для производства микросхем так дороги (до 5 млрд. долларов)? Полупроводниковые фабрики выполняют наиболее сложные задачи среди всех фабрик в мире. Они используют только специализированные материалы, болты, конструктивные элементы, оборудование и пр. Кроме того, интеловские фабрики, например, почти вдвое больше, чем средний размер подобных заводов в мире. Само здание стоит примерно 25% от общей стоимости фабрики и еще лет десять после постройки остается сооружением, пригодным для решения самых современных задач. Оборудование (установки для фотолитографии, газофазного осаждения, ионной имплантации) и автоматы на этаже стоят остальные 75%.

Дополнительные измерения проводятся для того, чтобы убедиться в виброустойчивости фундамента и установок. Даже если фабрика - внешне одно здание, на самом деле это несколько зданий, отделенных друг от друга набольшими (до 10 см) промежутками, и каждое здание имеет собственный фундамент. Это помогает гасить различные вибрации - как от внешних источников (автотранспорта, поездов), так и собственных вибраций оборудования.

Любопытные факты о первой 300-мм фабрике Intel Fab 11X

  • Автоматизированная система обработки подложек фабрики Fab 11X включает в себя более 5 км монорельсовых дорог и 165 кареток для доставки контейнеров с подложками на обрабатывающие центры завода.
  • Компьютерная система, обслуживающая Fab 11X, насчитывает триста серверов, полтысячи клиентских компьютеров, 25 тысяч гигабайт дисковой памяти, более 40 км оптоволоконного и более 900 км медного сетевого кабеля.
  • Fab 11 значительно превосходит по масштабам все ранее существовавшие в мире полупроводниковые производства. Общая площадь «чистых» помещений завода составляет 27 тысяч квадратных метров. С открытием Fab 11X этот показатель увеличится примерно на 18 тысяч квадратных метров.
  • На пике строительства в сооружении F11X участвовало 3 тысячи человек, отработавших в общей сложности 5,3 млн. часов. При этом уровень травматизма оказался рекордно низким - вчетверо ниже среднестатистического для строительной отрасли США.
  • Чтобы пересечь в среднем темпе все «чистые» помещения Fab 11 и Fab 11X, потребуется не менее десяти минут.
  • Затраты рабочего времени в человеко-часах на каждый день строительства F11X были выше затрат времени на строительство двух жилых домов.
  • На строительство F11X ушло около 50 тысяч кубометров (около 6700 машин) бетона. Таким количеством бетона можно было бы покрыть десятиметровым слоем футбольное поле.
  • Под заводом устроено 1300 подземных кессонов глубиной 15–25 метров каждый. На подземную часть здания ушло больше бетона, чем на надземную.
  • Все мы в той или иной степени пользуемся банковскими, социальными, а также SIM-картами, не говоря уже о проездных на метро. Все эти вещи объединяет одно - в основе их функционирования лежит микрочип. Микроэлектронка является одной из самых высокотехнологичных и наукоемких отраслей промышленности. Более 90% инноваций, которые появляются в мире, созданы за счет развития микроэлектроники.



    Все микрочипы, используемые в России, рождаются в одном месте - на зеленоградском заводе «НИИМЭ и Микрон», входящему в группу компаний «СИТРОНИКС Микроэлектроника».

    В основе любой микросхемы или чипа - кремний.


    Кремний обрабатывается в монокристалл. Режется на пластины толщиной в два бумажных листа и диаметром 750 микрон. В таком виде его и закупает завод.


    Дальше, на производстве, исходя из дальнейшего предназначения, пластина обрабатывается (порядка 200–300 операций) и разрезается на маленькие кусочки равного размера. На одной пластине помещается несколько десятков тысяч чипов с трехмерной структурой.


    Сначала пластину очищают от пыли в ионизированной воде и обрабатывают специальными реактивами. Затем ее подвергают термической обработке.

    Пластины с микрочипами переносятся в smif-контейнере. Контейнер защищает пластины от внешних воздействий и грязи. SMIF-контейнер - это маленькая «особо чистая комната». Там создан класс чистоты фактически 0.00 единиц на кубический метр.


    Сердце микроэлектронного производства – чистая комната. Производственный процесс в ней идет круглосуточно, не останавливаясь даже ночью. Почти весь процесс изготовления микрочипов автоматизирован, что сокращается потребность в людских ресурсах.


    Важнейшим и основообразующим элементом на заводе является чистота. Для микрочипа любая пылинка - то же, что и булыжник для человека. Работать можно только в специальном костюме, пронизанном углеродной нитью и обладающим пылеотталкивающими свойствами. Сотрудникам, работающим в чистой комнате, запрещено пользоваться косметикой. Количество микрочастиц в воздухе контролируется при помощи четырехуровневой системы фильтрации.


    На заводе существует два технологических процесса производства микросхемы: 90 нанометров и 180. Это означает, что минимальный размер элемента на чипе составляет 90 нанометров. Один нанометр равен одной миллиардной метра. Структура 90нм является более быстродейственной, энергоемкой и надежной. Ее запустили в феврале этого года при содействии «Роснано». Загруженность линии 90нм пока всего лишь 25%, тогда как 180 - 80%.


    В проекте разработки производства чипов 90 и 180 нанометров приняло участие более 70 компаний из 17 стран мира. Все оборудование, все материалы поставляются на завод из-за рубежа.

    Компании, сотрудничающие с «СИТРОНИКС Микроэлектроника»

    На момент запуска производства 90нм лишь 7 стран в мире обладало схожей технологией. Однако в Европе уже идет выпуск структур 65, 43 и 32 нм, тогда как у нас пока лишь 90. Но и это, безусловно, прорыв. Запустив производство чипов с топологическим уровнем 90нм, мы сократили отставание от мировых лидеров на 5 технологических поколений, что равняется десяти обычным годам. Также СИТРОНИКС Микроэлектроника с 2013 года планирует приступить в разработке отечественных технологий уровня 65нм.



    Производство для России действительно важное и одно из немногих, где мы можем составить конкуренцию западным производителям на внутреннем рынке. Однако, как признаются сотрудники завода, процесс разработки новых технологий сильно зависит от государственной поддержки, поэтому остается надеяться на лучшее и ждать.

    Cтраница 1


    Технология изготовления микросхем может быть не только такой, как описана выше. Для их изготовления в качестве подложки берутся пластинки из керамики или стекла. Соединения между компонентами гонкопленочной схемы получают путем напыления на подложку в высоком вакууме пленки из золота или серебра; для формирования резисторов используются ни-хромовые или танталовые пленки.  

    Особенности технологии изготовления микросхем определяют и специфику их чертежей. При изготовлении гибридной тонкопленочной интегральной микросхемы разрабатывают чертежи многослойных плат. На этих чертежах показывают размещение и фэрму элементов и их соединений.  


    В настоящее время технология изготовления микросхем достигла такого уровня, который позволяет создавать большие интегральные схемы.  

    В зависимости от технологии изготовления микросхемы подразделяют на полупроводниковые и пленочные. Пленочные схемы, в свою очередь, делятся на тонкопленочные и толстопленочные. Первые получают методами термического испарения материалов и катодного распыления, вторые - методами шелкографии и вжигания специальных паст в керамику. Разновидностью тонкопленочных микросхем, используемых в диапазоне СВЧ, являются микрополосковые схемы. По степени унификации и применения в РЭА микросхемы подразделяют на микросхемы широкого и частного применения.  

    По мере развития технологии изготовления микросхем с высокой степенью интеграции и МОП технологии возникла необходимость устранить операцию крупномасштабного вычерчивания оригинала фотошаблона микросхемы.  

    Время цикла команд микропроцессора U808D определяется технологией изготовления микросхем. В используемой в данном случае р - МОП-технологии максимальное время цикла составляет 13 5 мкс.  

    Характер этих связей зависит от метода изоляции и технологии изготовления микросхемы. В меньшей степени подложка влияет на параметры транзисторов при использовании диэлектрической изоляции.  

    Технология изготовления микросхем первой группы называется планерной, а технология изготовления микросхем второй группы - планарно-эпитаксиальной.  

    Повышение сложности ИМС, ужесточение требований к их надежности, расширение областей применения при постоянном увеличении диапазонов эксплуатационных воздействий требуют не только совершенствования проектирования и технологии изготовления микросхем, но и четкой организации единого подхода к решению методологических вопросов при оценке качества и надежности ИМС. Важное место при этом отводится испытаниям ИМС.  

    Голографический метод получает все более широкое практическое применение для решения самых различных задач, таких, как распознавание образов, построение блоков памяти большой емкости, ввода и вывода информации, в технологии изготовления микросхем и многих других.  

    Если технология изготовления микросхем известна, то выбирают физическую структуру, рассчитывают для нее физические параметры и на основе этих данных производят расчет параметров активных и пассивных элементов. Если же существующая технология не удовлетворяет требованиям проектируемой микросхемы, сначала на основе электрических параметров активных элементов рассчитывают физические структуры, а затем определяют технологические режимы.  

    Изменения на рынке компьютеров были вызваны появлением микросхем, которые позволили создать мини-компьютеры, доступные небольшим организациям. Эти компьютеры были хорошо встречены (и до сих пор имеют хороший сбыт), однако приближались новые перемены. Развитие технологии изготовления микросхем привело к созданию маленьких компьютеров (микрокомпьютеров) по производительности вполне сравнимых с мини - или даже с большими ЭВМ, но имеющих столь низкую цену, что они стали доступны не только любой малой организации, но и отдельным пользователям. И когда эти компьютеры начали продаваться действительно в массовых количествах и большом числе разнообразных моделей, стала очевидной необходимость создания развитого программного обеспечения, доступного пользователю в любом магазине.  



    gastroguru © 2017